avr/shift_register/reg.h

16 lines
275 B
C

#ifndef __REG_H__
#define __REG_H__
typedef struct SHIFT_REGISTER {
gpio_t output;
gpio_t shift_clock;
gpio_t latch_clock;
} shift_register_t;
void shift_register_initialize(shift_register_t *reg);
void shift_out(shift_register_t *reg, uint8_t byte);
#endif