ruduino/Xargo.toml

9 lines
197 B
TOML

[dependencies.std]
features = ["panic_unwind"]
[dependencies.test]
stage = 1
[target.avr-atmega328p.dependencies]
core = { git = "https://github.com/avr-rust/libcore", branch = "rust-26015da0" }